Project

General

Profile

RE: uPP receiving problem » sine_generator.vhd

Silvano Bertoldo, 07/21/2014 11:34 AM

 
1
--------------------------------------------------------------------------------
2
--    This file is owned and controlled by Xilinx and must be used solely     --
3
--    for design, simulation, implementation and creation of design files     --
4
--    limited to Xilinx devices or technologies. Use with non-Xilinx          --
5
--    devices or technologies is expressly prohibited and immediately         --
6
--    terminates your license.                                                --
7
--                                                                            --
8
--    XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY    --
9
--    FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES.  BY    --
10
--    PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE             --
11
--    IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS      --
12
--    MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY      --
13
--    CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY       --
14
--    RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY       --
15
--    DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE   --
16
--    IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR          --
17
--    REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF         --
18
--    INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A   --
19
--    PARTICULAR PURPOSE.                                                     --
20
--                                                                            --
21
--    Xilinx products are not intended for use in life support appliances,    --
22
--    devices, or systems.  Use in such applications are expressly            --
23
--    prohibited.                                                             --
24
--                                                                            --
25
--    (c) Copyright 1995-2014 Xilinx, Inc.                                    --
26
--    All rights reserved.                                                    --
27
--------------------------------------------------------------------------------
28
--------------------------------------------------------------------------------
29
-- You must compile the wrapper file Sine_generator.vhd when simulating
30
-- the core, Sine_generator. When compiling the wrapper file, be sure to
31
-- reference the XilinxCoreLib VHDL simulation library. For detailed
32
-- instructions, please refer to the "CORE Generator Help".
33

    
34
-- The synthesis directives "translate_off/translate_on" specified
35
-- below are supported by Xilinx, Mentor Graphics and Synplicity
36
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
37

    
38
LIBRARY ieee;
39
USE ieee.std_logic_1164.ALL;
40
-- synthesis translate_off
41
LIBRARY XilinxCoreLib;
42
-- synthesis translate_on
43
ENTITY Sine_generator IS
44
  PORT (
45
    aclk : IN STD_LOGIC;
46
    aclken : IN STD_LOGIC;
47
    aresetn : IN STD_LOGIC;
48
    m_axis_data_tvalid : OUT STD_LOGIC;
49
    m_axis_data_tdata : OUT STD_LOGIC_VECTOR(15 DOWNTO 0)
50
  );
51
END Sine_generator;
52

    
53
ARCHITECTURE Sine_generator_a OF Sine_generator IS
54
-- synthesis translate_off
55
COMPONENT wrapped_Sine_generator
56
  PORT (
57
    aclk : IN STD_LOGIC;
58
    aclken : IN STD_LOGIC;
59
    aresetn : IN STD_LOGIC;
60
    m_axis_data_tvalid : OUT STD_LOGIC;
61
    m_axis_data_tdata : OUT STD_LOGIC_VECTOR(15 DOWNTO 0)
62
  );
63
END COMPONENT;
64

    
65
-- Configuration specification
66
  FOR ALL : wrapped_Sine_generator USE ENTITY XilinxCoreLib.dds_compiler_v5_0(behavioral)
67
    GENERIC MAP (
68
      c_accumulator_width => 12,
69
      c_amplitude => 0,
70
      c_chan_width => 1,
71
      c_channels => 1,
72
      c_debug_interface => 0,
73
      c_has_aclken => 1,
74
      c_has_aresetn => 1,
75
      c_has_channel_index => 0,
76
      c_has_m_data => 1,
77
      c_has_m_phase => 0,
78
      c_has_phase_out => 0,
79
      c_has_phasegen => 1,
80
      c_has_s_config => 0,
81
      c_has_s_phase => 0,
82
      c_has_sincos => 1,
83
      c_has_tlast => 0,
84
      c_has_tready => 0,
85
      c_latency => 6,
86
      c_m_data_has_tuser => 0,
87
      c_m_data_tdata_width => 16,
88
      c_m_data_tuser_width => 1,
89
      c_m_phase_has_tuser => 0,
90
      c_m_phase_tdata_width => 1,
91
      c_m_phase_tuser_width => 1,
92
      c_mem_type => 1,
93
      c_negative_cosine => 0,
94
      c_negative_sine => 0,
95
      c_noise_shaping => 0,
96
      c_optimise_goal => 0,
97
      c_output_width => 12,
98
      c_outputs_required => 0,
99
      c_phase_angle_width => 12,
100
      c_phase_increment => 2,
101
      c_phase_increment_value => "10000,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0",
102
      c_phase_offset => 0,
103
      c_phase_offset_value => "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0",
104
      c_por_mode => 0,
105
      c_s_config_sync_mode => 0,
106
      c_s_config_tdata_width => 1,
107
      c_s_phase_has_tuser => 0,
108
      c_s_phase_tdata_width => 1,
109
      c_s_phase_tuser_width => 1,
110
      c_use_dsp48 => 0,
111
      c_xdevicefamily => "spartan6"
112
    );
113
-- synthesis translate_on
114
BEGIN
115
-- synthesis translate_off
116
U0 : wrapped_Sine_generator
117
  PORT MAP (
118
    aclk => aclk,
119
    aclken => aclken,
120
    aresetn => aresetn,
121
    m_axis_data_tvalid => m_axis_data_tvalid,
122
    m_axis_data_tdata => m_axis_data_tdata
123
  );
124
-- synthesis translate_on
125

    
126
END Sine_generator_a;
127

    
128

    
(4-4/6) Go to top
Add picture from clipboard (Maximum size: 1 GB)