Project

General

Profile

Upgrading 130sp1 projects to 131 » mitysom_5csx_dev_board_setup.tcl

Daniel Vincelette, 05/02/2014 02:46 PM

 
1
# Setup Device
2
set_global_assignment -name FAMILY "Cyclone V"
3

    
4
# Setup Bank Voltages
5
set_global_assignment -name IOBANK_VCCIO 1.35V -section_id 3A
6
set_global_assignment -name IOBANK_VCCIO 2.5V -section_id 3B
7
set_global_assignment -name IOBANK_VCCIO 2.5V -section_id 4A
8
set_global_assignment -name IOBANK_VCCIO 1.35V -section_id 5A
9
set_global_assignment -name IOBANK_VCCIO 1.35V -section_id 5B
10
set_global_assignment -name IOBANK_VCCIO 1.35V -section_id 6A
11
set_global_assignment -name IOBANK_VCCIO 1.35V -section_id 6B
12
set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 7A
13
set_global_assignment -name IOBANK_VCCIO 1.8V -section_id 7B
14
set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 7C
15
set_global_assignment -name IOBANK_VCCIO 1.8V -section_id 7D
16
set_global_assignment -name IOBANK_VCCIO 2.5V -section_id 8A
17

    
18
# Setup Pin Voltages
19
set_instance_assignment -name IO_STANDARD "1.8 V" -to RGMII1_TX_CTL
20
set_instance_assignment -name IO_STANDARD "1.8 V" -to RGMII1_MDC
21
set_instance_assignment -name IO_STANDARD "1.8 V" -to RGMII1_MDIO
22
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to RGMII1_RESETn
23
set_instance_assignment -name IO_STANDARD "1.8 V" -to RGMII1_RXD0
24
set_instance_assignment -name IO_STANDARD "1.8 V" -to RGMII1_RXD1
25
set_instance_assignment -name IO_STANDARD "1.8 V" -to RGMII1_RXD2
26
set_instance_assignment -name IO_STANDARD "1.8 V" -to RGMII1_RXD3
27
set_instance_assignment -name IO_STANDARD "1.8 V" -to RGMII1_RX_CLK
28
set_instance_assignment -name IO_STANDARD "1.8 V" -to RGMII1_RX_CTL
29
set_instance_assignment -name IO_STANDARD "1.8 V" -to RGMII1_TXD0
30
set_instance_assignment -name IO_STANDARD "1.8 V" -to RGMII1_TXD1
31
set_instance_assignment -name IO_STANDARD "1.8 V" -to RGMII1_TXD2
32
set_instance_assignment -name IO_STANDARD "1.8 V" -to RGMII1_TXD3
33
set_instance_assignment -name IO_STANDARD "1.8 V" -to RGMII1_TX_CLK
34
set_instance_assignment -name IO_STANDARD "1.8 V" -to QSPI_CLK
35
set_instance_assignment -name IO_STANDARD "1.8 V" -to QSPI_DQ0
36
set_instance_assignment -name IO_STANDARD "1.8 V" -to QSPI_DQ1
37
set_instance_assignment -name IO_STANDARD "1.8 V" -to QSPI_DQ2
38
set_instance_assignment -name IO_STANDARD "1.8 V" -to QSPI_DQ3
39
set_instance_assignment -name IO_STANDARD "1.8 V" -to QSPI_SS0
40
set_instance_assignment -name IO_STANDARD "1.8 V" -to QSPI_SS1
41
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to SDMMC_CLK
42
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to SDMMC_CMD
43
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to SDMMC_D0
44
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to SDMMC_D1
45
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to SDMMC_D2
46
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to SDMMC_D3
47
set_instance_assignment -name IO_STANDARD "1.8 V" -to USB1_ULPI_STP
48
set_instance_assignment -name IO_STANDARD "1.8 V" -to USB1_ULPI_CLK
49
set_instance_assignment -name IO_STANDARD "1.8 V" -to USB1_ULPI_CS
50
set_instance_assignment -name IO_STANDARD "1.8 V" -to USB1_ULPI_D0
51
set_instance_assignment -name IO_STANDARD "1.8 V" -to USB1_ULPI_D1
52
set_instance_assignment -name IO_STANDARD "1.8 V" -to USB1_ULPI_D2
53
set_instance_assignment -name IO_STANDARD "1.8 V" -to USB1_ULPI_D3
54
set_instance_assignment -name IO_STANDARD "1.8 V" -to USB1_ULPI_D4
55
set_instance_assignment -name IO_STANDARD "1.8 V" -to USB1_ULPI_D5
56
set_instance_assignment -name IO_STANDARD "1.8 V" -to USB1_ULPI_D6
57
set_instance_assignment -name IO_STANDARD "1.8 V" -to USB1_ULPI_D7
58
set_instance_assignment -name IO_STANDARD "1.8 V" -to USB1_ULPI_DIR
59
set_instance_assignment -name IO_STANDARD "1.8 V" -to USB1_ULPI_NXT
60
set_instance_assignment -name IO_STANDARD "1.8 V" -to USB1_ULPI_RESET_N
61
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to B7A_CAN0_RX
62
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to B7A_CAN0_TX
63
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to B7A_CAN1_RX
64
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to B7A_CAN1_TX
65
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to B7A_I2C0_SCL
66
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to B7A_I2C0_SDA
67
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to B7A_UART0_RX
68
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to B7A_UART0_TX
69
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to SW3
70
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to SPIS1_CLK
71
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to SPIS1_MISO
72
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to SPIS1_MOSI
73
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to SPIS1_SS0
74
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to SW1
75
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to SW2
76
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to I2C1_SCL
77
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to I2C1_SDA
78
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LED1
79
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LED2
80
set_instance_assignment -name IO_STANDARD "1.8 V" -to LED3
81

    
82
# HPS DDR
83
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_D[0] -tag __hps_sdram_p0
84
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 60 OHM WITH CALIBRATION" -to HPS_DDR_D[0] -tag __hps_sdram_p0
85
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_D[0] -tag __hps_sdram_p0
86
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_D[1] -tag __hps_sdram_p0
87
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 60 OHM WITH CALIBRATION" -to HPS_DDR_D[1] -tag __hps_sdram_p0
88
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_D[1] -tag __hps_sdram_p0
89
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_D[2] -tag __hps_sdram_p0
90
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 60 OHM WITH CALIBRATION" -to HPS_DDR_D[2] -tag __hps_sdram_p0
91
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_D[2] -tag __hps_sdram_p0
92
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_D[3] -tag __hps_sdram_p0
93
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 60 OHM WITH CALIBRATION" -to HPS_DDR_D[3] -tag __hps_sdram_p0
94
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_D[3] -tag __hps_sdram_p0
95
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_D[4] -tag __hps_sdram_p0
96
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 60 OHM WITH CALIBRATION" -to HPS_DDR_D[4] -tag __hps_sdram_p0
97
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_D[4] -tag __hps_sdram_p0
98
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_D[5] -tag __hps_sdram_p0
99
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 60 OHM WITH CALIBRATION" -to HPS_DDR_D[5] -tag __hps_sdram_p0
100
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_D[5] -tag __hps_sdram_p0
101
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_D[6] -tag __hps_sdram_p0
102
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 60 OHM WITH CALIBRATION" -to HPS_DDR_D[6] -tag __hps_sdram_p0
103
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_D[6] -tag __hps_sdram_p0
104
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_D[7] -tag __hps_sdram_p0
105
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 60 OHM WITH CALIBRATION" -to HPS_DDR_D[7] -tag __hps_sdram_p0
106
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_D[7] -tag __hps_sdram_p0
107
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_D[8] -tag __hps_sdram_p0
108
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 60 OHM WITH CALIBRATION" -to HPS_DDR_D[8] -tag __hps_sdram_p0
109
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_D[8] -tag __hps_sdram_p0
110
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_D[9] -tag __hps_sdram_p0
111
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 60 OHM WITH CALIBRATION" -to HPS_DDR_D[9] -tag __hps_sdram_p0
112
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_D[9] -tag __hps_sdram_p0
113
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_D[10] -tag __hps_sdram_p0
114
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 60 OHM WITH CALIBRATION" -to HPS_DDR_D[10] -tag __hps_sdram_p0
115
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_D[10] -tag __hps_sdram_p0
116
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_D[11] -tag __hps_sdram_p0
117
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 60 OHM WITH CALIBRATION" -to HPS_DDR_D[11] -tag __hps_sdram_p0
118
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_D[11] -tag __hps_sdram_p0
119
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_D[12] -tag __hps_sdram_p0
120
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 60 OHM WITH CALIBRATION" -to HPS_DDR_D[12] -tag __hps_sdram_p0
121
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_D[12] -tag __hps_sdram_p0
122
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_D[13] -tag __hps_sdram_p0
123
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 60 OHM WITH CALIBRATION" -to HPS_DDR_D[13] -tag __hps_sdram_p0
124
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_D[13] -tag __hps_sdram_p0
125
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_D[14] -tag __hps_sdram_p0
126
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 60 OHM WITH CALIBRATION" -to HPS_DDR_D[14] -tag __hps_sdram_p0
127
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_D[14] -tag __hps_sdram_p0
128
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_D[15] -tag __hps_sdram_p0
129
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 60 OHM WITH CALIBRATION" -to HPS_DDR_D[15] -tag __hps_sdram_p0
130
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_D[15] -tag __hps_sdram_p0
131
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_D[16] -tag __hps_sdram_p0
132
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 60 OHM WITH CALIBRATION" -to HPS_DDR_D[16] -tag __hps_sdram_p0
133
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_D[16] -tag __hps_sdram_p0
134
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_D[17] -tag __hps_sdram_p0
135
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 60 OHM WITH CALIBRATION" -to HPS_DDR_D[17] -tag __hps_sdram_p0
136
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_D[17] -tag __hps_sdram_p0
137
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_D[18] -tag __hps_sdram_p0
138
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 60 OHM WITH CALIBRATION" -to HPS_DDR_D[18] -tag __hps_sdram_p0
139
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_D[18] -tag __hps_sdram_p0
140
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_D[19] -tag __hps_sdram_p0
141
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 60 OHM WITH CALIBRATION" -to HPS_DDR_D[19] -tag __hps_sdram_p0
142
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_D[19] -tag __hps_sdram_p0
143
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_D[20] -tag __hps_sdram_p0
144
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 60 OHM WITH CALIBRATION" -to HPS_DDR_D[20] -tag __hps_sdram_p0
145
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_D[20] -tag __hps_sdram_p0
146
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_D[21] -tag __hps_sdram_p0
147
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 60 OHM WITH CALIBRATION" -to HPS_DDR_D[21] -tag __hps_sdram_p0
148
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_D[21] -tag __hps_sdram_p0
149
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_D[22] -tag __hps_sdram_p0
150
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 60 OHM WITH CALIBRATION" -to HPS_DDR_D[22] -tag __hps_sdram_p0
151
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_D[22] -tag __hps_sdram_p0
152
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_D[23] -tag __hps_sdram_p0
153
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 60 OHM WITH CALIBRATION" -to HPS_DDR_D[23] -tag __hps_sdram_p0
154
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_D[23] -tag __hps_sdram_p0
155
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_D[24] -tag __hps_sdram_p0
156
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 60 OHM WITH CALIBRATION" -to HPS_DDR_D[24] -tag __hps_sdram_p0
157
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_D[24] -tag __hps_sdram_p0
158
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_D[25] -tag __hps_sdram_p0
159
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 60 OHM WITH CALIBRATION" -to HPS_DDR_D[25] -tag __hps_sdram_p0
160
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_D[25] -tag __hps_sdram_p0
161
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_D[26] -tag __hps_sdram_p0
162
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 60 OHM WITH CALIBRATION" -to HPS_DDR_D[26] -tag __hps_sdram_p0
163
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_D[26] -tag __hps_sdram_p0
164
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_D[27] -tag __hps_sdram_p0
165
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 60 OHM WITH CALIBRATION" -to HPS_DDR_D[27] -tag __hps_sdram_p0
166
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_D[27] -tag __hps_sdram_p0
167
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_D[28] -tag __hps_sdram_p0
168
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 60 OHM WITH CALIBRATION" -to HPS_DDR_D[28] -tag __hps_sdram_p0
169
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_D[28] -tag __hps_sdram_p0
170
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_D[29] -tag __hps_sdram_p0
171
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 60 OHM WITH CALIBRATION" -to HPS_DDR_D[29] -tag __hps_sdram_p0
172
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_D[29] -tag __hps_sdram_p0
173
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_D[30] -tag __hps_sdram_p0
174
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 60 OHM WITH CALIBRATION" -to HPS_DDR_D[30] -tag __hps_sdram_p0
175
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_D[30] -tag __hps_sdram_p0
176
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_D[31] -tag __hps_sdram_p0
177
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 60 OHM WITH CALIBRATION" -to HPS_DDR_D[31] -tag __hps_sdram_p0
178
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_D[31] -tag __hps_sdram_p0
179
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_D[32] -tag __hps_sdram_p0
180
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 60 OHM WITH CALIBRATION" -to HPS_DDR_D[32] -tag __hps_sdram_p0
181
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_D[32] -tag __hps_sdram_p0
182
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_D[33] -tag __hps_sdram_p0
183
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 60 OHM WITH CALIBRATION" -to HPS_DDR_D[33] -tag __hps_sdram_p0
184
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_D[33] -tag __hps_sdram_p0
185
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_D[34] -tag __hps_sdram_p0
186
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 60 OHM WITH CALIBRATION" -to HPS_DDR_D[34] -tag __hps_sdram_p0
187
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_D[34] -tag __hps_sdram_p0
188
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_D[35] -tag __hps_sdram_p0
189
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 60 OHM WITH CALIBRATION" -to HPS_DDR_D[35] -tag __hps_sdram_p0
190
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_D[35] -tag __hps_sdram_p0
191
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_D[36] -tag __hps_sdram_p0
192
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 60 OHM WITH CALIBRATION" -to HPS_DDR_D[36] -tag __hps_sdram_p0
193
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_D[36] -tag __hps_sdram_p0
194
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_D[37] -tag __hps_sdram_p0
195
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 60 OHM WITH CALIBRATION" -to HPS_DDR_D[37] -tag __hps_sdram_p0
196
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_D[37] -tag __hps_sdram_p0
197
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_D[38] -tag __hps_sdram_p0
198
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 60 OHM WITH CALIBRATION" -to HPS_DDR_D[38] -tag __hps_sdram_p0
199
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_D[38] -tag __hps_sdram_p0
200
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_D[39] -tag __hps_sdram_p0
201
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 60 OHM WITH CALIBRATION" -to HPS_DDR_D[39] -tag __hps_sdram_p0
202
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_D[39] -tag __hps_sdram_p0
203
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.35-V SSTL" -to HPS_DDR_DQS_P[0] -tag __hps_sdram_p0
204
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 60 OHM WITH CALIBRATION" -to HPS_DDR_DQS_P[0] -tag __hps_sdram_p0
205
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_DQS_P[0] -tag __hps_sdram_p0
206
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.35-V SSTL" -to HPS_DDR_DQS_P[1] -tag __hps_sdram_p0
207
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 60 OHM WITH CALIBRATION" -to HPS_DDR_DQS_P[1] -tag __hps_sdram_p0
208
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_DQS_P[1] -tag __hps_sdram_p0
209
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.35-V SSTL" -to HPS_DDR_DQS_P[2] -tag __hps_sdram_p0
210
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 60 OHM WITH CALIBRATION" -to HPS_DDR_DQS_P[2] -tag __hps_sdram_p0
211
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_DQS_P[2] -tag __hps_sdram_p0
212
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.35-V SSTL" -to HPS_DDR_DQS_P[3] -tag __hps_sdram_p0
213
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 60 OHM WITH CALIBRATION" -to HPS_DDR_DQS_P[3] -tag __hps_sdram_p0
214
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_DQS_P[3] -tag __hps_sdram_p0
215
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.35-V SSTL" -to HPS_DDR_DQS_P[4] -tag __hps_sdram_p0
216
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 60 OHM WITH CALIBRATION" -to HPS_DDR_DQS_P[4] -tag __hps_sdram_p0
217
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_DQS_P[4] -tag __hps_sdram_p0
218
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.35-V SSTL" -to HPS_DDR_DQS_N[0] -tag __hps_sdram_p0
219
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 60 OHM WITH CALIBRATION" -to HPS_DDR_DQS_N[0] -tag __hps_sdram_p0
220
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_DQS_N[0] -tag __hps_sdram_p0
221
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.35-V SSTL" -to HPS_DDR_DQS_N[1] -tag __hps_sdram_p0
222
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 60 OHM WITH CALIBRATION" -to HPS_DDR_DQS_N[1] -tag __hps_sdram_p0
223
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_DQS_N[1] -tag __hps_sdram_p0
224
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.35-V SSTL" -to HPS_DDR_DQS_N[2] -tag __hps_sdram_p0
225
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 60 OHM WITH CALIBRATION" -to HPS_DDR_DQS_N[2] -tag __hps_sdram_p0
226
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_DQS_N[2] -tag __hps_sdram_p0
227
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.35-V SSTL" -to HPS_DDR_DQS_N[3] -tag __hps_sdram_p0
228
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 60 OHM WITH CALIBRATION" -to HPS_DDR_DQS_N[3] -tag __hps_sdram_p0
229
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_DQS_N[3] -tag __hps_sdram_p0
230
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.35-V SSTL" -to HPS_DDR_DQS_N[4] -tag __hps_sdram_p0
231
set_instance_assignment -name INPUT_TERMINATION "PARALLEL 60 OHM WITH CALIBRATION" -to HPS_DDR_DQS_N[4] -tag __hps_sdram_p0
232
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_DQS_N[4] -tag __hps_sdram_p0
233
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.35-V SSTL" -to HPS_DDR_CK_P -tag __hps_sdram_p0
234
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITHOUT CALIBRATION" -to HPS_DDR_CK_P -tag __hps_sdram_p0
235
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.35-V SSTL" -to HPS_DDR_CK_N -tag __hps_sdram_p0
236
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITHOUT CALIBRATION" -to HPS_DDR_CK_N -tag __hps_sdram_p0
237
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_A[0] -tag __hps_sdram_p0
238
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITHOUT CALIBRATION" -to HPS_DDR_A[0] -tag __hps_sdram_p0
239
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_A[10] -tag __hps_sdram_p0
240
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITHOUT CALIBRATION" -to HPS_DDR_A[10] -tag __hps_sdram_p0
241
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_A[11] -tag __hps_sdram_p0
242
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITHOUT CALIBRATION" -to HPS_DDR_A[11] -tag __hps_sdram_p0
243
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_A[12] -tag __hps_sdram_p0
244
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITHOUT CALIBRATION" -to HPS_DDR_A[12] -tag __hps_sdram_p0
245
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_A[13] -tag __hps_sdram_p0
246
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITHOUT CALIBRATION" -to HPS_DDR_A[13] -tag __hps_sdram_p0
247
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_A[14] -tag __hps_sdram_p0
248
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITHOUT CALIBRATION" -to HPS_DDR_A[14] -tag __hps_sdram_p0
249
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_A[1] -tag __hps_sdram_p0
250
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITHOUT CALIBRATION" -to HPS_DDR_A[1] -tag __hps_sdram_p0
251
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_A[2] -tag __hps_sdram_p0
252
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITHOUT CALIBRATION" -to HPS_DDR_A[2] -tag __hps_sdram_p0
253
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_A[3] -tag __hps_sdram_p0
254
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITHOUT CALIBRATION" -to HPS_DDR_A[3] -tag __hps_sdram_p0
255
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_A[4] -tag __hps_sdram_p0
256
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITHOUT CALIBRATION" -to HPS_DDR_A[4] -tag __hps_sdram_p0
257
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_A[5] -tag __hps_sdram_p0
258
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITHOUT CALIBRATION" -to HPS_DDR_A[5] -tag __hps_sdram_p0
259
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_A[6] -tag __hps_sdram_p0
260
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITHOUT CALIBRATION" -to HPS_DDR_A[6] -tag __hps_sdram_p0
261
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_A[7] -tag __hps_sdram_p0
262
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITHOUT CALIBRATION" -to HPS_DDR_A[7] -tag __hps_sdram_p0
263
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_A[8] -tag __hps_sdram_p0
264
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITHOUT CALIBRATION" -to HPS_DDR_A[8] -tag __hps_sdram_p0
265
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_A[9] -tag __hps_sdram_p0
266
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITHOUT CALIBRATION" -to HPS_DDR_A[9] -tag __hps_sdram_p0
267
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_BAS[0] -tag __hps_sdram_p0
268
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITHOUT CALIBRATION" -to HPS_DDR_BAS[0] -tag __hps_sdram_p0
269
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_BAS[1] -tag __hps_sdram_p0
270
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITHOUT CALIBRATION" -to HPS_DDR_BAS[1] -tag __hps_sdram_p0
271
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_BAS[2] -tag __hps_sdram_p0
272
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITHOUT CALIBRATION" -to HPS_DDR_BAS[2] -tag __hps_sdram_p0
273
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_CAS_N -tag __hps_sdram_p0
274
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITHOUT CALIBRATION" -to HPS_DDR_CAS_N -tag __hps_sdram_p0
275
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_CKE -tag __hps_sdram_p0
276
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITHOUT CALIBRATION" -to HPS_DDR_CKE -tag __hps_sdram_p0
277
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_CS0_N -tag __hps_sdram_p0
278
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITHOUT CALIBRATION" -to HPS_DDR_CS0_N -tag __hps_sdram_p0
279
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_RAS_N -tag __hps_sdram_p0
280
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITHOUT CALIBRATION" -to HPS_DDR_RAS_N -tag __hps_sdram_p0
281
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_WE_N -tag __hps_sdram_p0
282
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITHOUT CALIBRATION" -to HPS_DDR_WE_N -tag __hps_sdram_p0
283
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_RESET_N -tag __hps_sdram_p0
284
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITHOUT CALIBRATION" -to HPS_DDR_RESET_N -tag __hps_sdram_p0
285
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_DQM[0] -tag __hps_sdram_p0
286
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_DQM[0] -tag __hps_sdram_p0
287
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_DQM[1] -tag __hps_sdram_p0
288
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_DQM[1] -tag __hps_sdram_p0
289
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_DQM[2] -tag __hps_sdram_p0
290
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_DQM[2] -tag __hps_sdram_p0
291
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_DQM[3] -tag __hps_sdram_p0
292
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_DQM[3] -tag __hps_sdram_p0
293
set_instance_assignment -name IO_STANDARD "SSTL-135" -to HPS_DDR_DQM[4] -tag __hps_sdram_p0
294
set_instance_assignment -name OUTPUT_TERMINATION "SERIES 34 OHM WITH CALIBRATION" -to HPS_DDR_DQM[4] -tag __hps_sdram_p0
(1-1/2) Go to top
Add picture from clipboard (Maximum size: 1 GB)