Project

General

Profile

Quartus v20.1 errors building mitysom 5csx project

Added by Tristan Aldinger over 3 years ago

I am trying to load the provided DEV_5CSX_H6_42A project in Quartus v20.1 Standard and compile the design to insert my own FPGA code.

However, I cannot get past these errors related to the Qsys/Platform designer:
Error (12006): Node instance "s0" instantiates undefined entity "dev_5csx_h6_42a_fpga_ddr_s0". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "dmaster" instantiates undefined entity "dev_5csx_h6_42a_fpga_ddr_dmaster". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "c0" instantiates undefined entity "dev_5csx_h6_42a_fpga_ddr_c0". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "oct0" instantiates undefined entity "altera_mem_if_oct_cyclonev". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "dll0" instantiates undefined entity "altera_mem_if_dll_cyclonev". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "mm_interconnect_0" instantiates undefined entity "dev_5csx_h6_42a_fpga_ddr_mm_interconnect_0". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "fpga_interfaces" instantiates undefined entity "dev_5csx_h6_42a_hps_0_fpga_interfaces". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "hps_io" instantiates undefined entity "dev_5csx_h6_42a_hps_0_hps_io". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "mm_clock_crossing_bridge_0_s0_translator" instantiates undefined entity "altera_merlin_slave_translator". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "hps_0_h2f_axi_master_agent" instantiates undefined entity "altera_merlin_axi_master_ni". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "mm_clock_crossing_bridge_0_s0_agent" instantiates undefined entity "altera_merlin_slave_agent". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "mm_clock_crossing_bridge_0_s0_agent_rsp_fifo" instantiates undefined entity "altera_avalon_sc_fifo". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "mm_clock_crossing_bridge_0_s0_agent_rdata_fifo" instantiates undefined entity "altera_avalon_sc_fifo". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "router" instantiates undefined entity "dev_5csx_h6_42a_mm_interconnect_0_router". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "router_001" instantiates undefined entity "dev_5csx_h6_42a_mm_interconnect_0_router". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "router_002" instantiates undefined entity "dev_5csx_h6_42a_mm_interconnect_0_router_002". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "mm_clock_crossing_bridge_0_s0_burst_adapter" instantiates undefined entity "altera_merlin_burst_adapter". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "cmd_demux" instantiates undefined entity "dev_5csx_h6_42a_mm_interconnect_0_cmd_demux". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "cmd_demux_001" instantiates undefined entity "dev_5csx_h6_42a_mm_interconnect_0_cmd_demux". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "cmd_mux" instantiates undefined entity "dev_5csx_h6_42a_mm_interconnect_0_cmd_mux". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "rsp_demux" instantiates undefined entity "dev_5csx_h6_42a_mm_interconnect_0_rsp_demux". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "rsp_mux" instantiates undefined entity "dev_5csx_h6_42a_mm_interconnect_0_rsp_mux". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "rsp_mux_001" instantiates undefined entity "dev_5csx_h6_42a_mm_interconnect_0_rsp_mux". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "agent_pipeline" instantiates undefined entity "altera_avalon_st_pipeline_stage". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "agent_pipeline_001" instantiates undefined entity "altera_avalon_st_pipeline_stage". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "mux_pipeline" instantiates undefined entity "altera_avalon_st_pipeline_stage". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "mux_pipeline_001" instantiates undefined entity "altera_avalon_st_pipeline_stage". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "mux_pipeline_002" instantiates undefined entity "altera_avalon_st_pipeline_stage". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "mux_pipeline_003" instantiates undefined entity "altera_avalon_st_pipeline_stage". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "avalon_st_adapter" instantiates undefined entity "dev_5csx_h6_42a_mm_interconnect_0_avalon_st_adapter". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "sysid_qsys_control_slave_translator" instantiates undefined entity "altera_merlin_slave_translator". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "pio_0_s1_translator" instantiates undefined entity "altera_merlin_slave_translator". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "pio_1_s1_translator" instantiates undefined entity "altera_merlin_slave_translator". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "pio_2_s1_translator" instantiates undefined entity "altera_merlin_slave_translator". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "pio_3_s1_translator" instantiates undefined entity "altera_merlin_slave_translator". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "hps_0_h2f_lw_axi_master_agent" instantiates undefined entity "altera_merlin_axi_master_ni". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "sysid_qsys_control_slave_agent" instantiates undefined entity "altera_merlin_slave_agent". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "sysid_qsys_control_slave_agent_rsp_fifo" instantiates undefined entity "altera_avalon_sc_fifo". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "sysid_qsys_control_slave_agent_rdata_fifo" instantiates undefined entity "altera_avalon_sc_fifo". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "pio_0_s1_agent" instantiates undefined entity "altera_merlin_slave_agent". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "pio_0_s1_agent_rsp_fifo" instantiates undefined entity "altera_avalon_sc_fifo". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "pio_0_s1_agent_rdata_fifo" instantiates undefined entity "altera_avalon_sc_fifo". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "pio_1_s1_agent" instantiates undefined entity "altera_merlin_slave_agent". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "pio_1_s1_agent_rsp_fifo" instantiates undefined entity "altera_avalon_sc_fifo". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "pio_1_s1_agent_rdata_fifo" instantiates undefined entity "altera_avalon_sc_fifo". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "pio_2_s1_agent" instantiates undefined entity "altera_merlin_slave_agent". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "pio_2_s1_agent_rsp_fifo" instantiates undefined entity "altera_avalon_sc_fifo". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "pio_2_s1_agent_rdata_fifo" instantiates undefined entity "altera_avalon_sc_fifo". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "pio_3_s1_agent" instantiates undefined entity "altera_merlin_slave_agent". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "pio_3_s1_agent_rsp_fifo" instantiates undefined entity "altera_avalon_sc_fifo". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "pio_3_s1_agent_rdata_fifo" instantiates undefined entity "altera_avalon_sc_fifo". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "router" instantiates undefined entity "dev_5csx_h6_42a_mm_interconnect_1_router". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "router_001" instantiates undefined entity "dev_5csx_h6_42a_mm_interconnect_1_router". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "router_002" instantiates undefined entity "dev_5csx_h6_42a_mm_interconnect_1_router_002". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "router_003" instantiates undefined entity "dev_5csx_h6_42a_mm_interconnect_1_router_002". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "router_004" instantiates undefined entity "dev_5csx_h6_42a_mm_interconnect_1_router_002". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "router_005" instantiates undefined entity "dev_5csx_h6_42a_mm_interconnect_1_router_002". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "router_006" instantiates undefined entity "dev_5csx_h6_42a_mm_interconnect_1_router_002". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "hps_0_h2f_lw_axi_master_wr_limiter" instantiates undefined entity "altera_merlin_traffic_limiter". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "hps_0_h2f_lw_axi_master_rd_limiter" instantiates undefined entity "altera_merlin_traffic_limiter". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "sysid_qsys_control_slave_burst_adapter" instantiates undefined entity "altera_merlin_burst_adapter". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "pio_0_s1_burst_adapter" instantiates undefined entity "altera_merlin_burst_adapter". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "pio_1_s1_burst_adapter" instantiates undefined entity "altera_merlin_burst_adapter". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "pio_2_s1_burst_adapter" instantiates undefined entity "altera_merlin_burst_adapter". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "pio_3_s1_burst_adapter" instantiates undefined entity "altera_merlin_burst_adapter". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "cmd_demux" instantiates undefined entity "dev_5csx_h6_42a_mm_interconnect_1_cmd_demux". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "cmd_demux_001" instantiates undefined entity "dev_5csx_h6_42a_mm_interconnect_1_cmd_demux". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "cmd_mux" instantiates undefined entity "dev_5csx_h6_42a_mm_interconnect_1_cmd_mux". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "cmd_mux_001" instantiates undefined entity "dev_5csx_h6_42a_mm_interconnect_1_cmd_mux". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "cmd_mux_002" instantiates undefined entity "dev_5csx_h6_42a_mm_interconnect_1_cmd_mux". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "cmd_mux_003" instantiates undefined entity "dev_5csx_h6_42a_mm_interconnect_1_cmd_mux". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "cmd_mux_004" instantiates undefined entity "dev_5csx_h6_42a_mm_interconnect_1_cmd_mux". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "rsp_demux" instantiates undefined entity "dev_5csx_h6_42a_mm_interconnect_1_rsp_demux". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "rsp_demux_001" instantiates undefined entity "dev_5csx_h6_42a_mm_interconnect_1_rsp_demux". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "rsp_demux_002" instantiates undefined entity "dev_5csx_h6_42a_mm_interconnect_1_rsp_demux". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "rsp_demux_003" instantiates undefined entity "dev_5csx_h6_42a_mm_interconnect_1_rsp_demux". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "rsp_demux_004" instantiates undefined entity "dev_5csx_h6_42a_mm_interconnect_1_rsp_demux". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "rsp_mux" instantiates undefined entity "dev_5csx_h6_42a_mm_interconnect_1_rsp_mux". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "rsp_mux_001" instantiates undefined entity "dev_5csx_h6_42a_mm_interconnect_1_rsp_mux". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "limiter_pipeline" instantiates undefined entity "altera_avalon_st_pipeline_stage". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "limiter_pipeline_001" instantiates undefined entity "altera_avalon_st_pipeline_stage". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "limiter_pipeline_002" instantiates undefined entity "altera_avalon_st_pipeline_stage". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "limiter_pipeline_003" instantiates undefined entity "altera_avalon_st_pipeline_stage". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "agent_pipeline" instantiates undefined entity "altera_avalon_st_pipeline_stage". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "agent_pipeline_001" instantiates undefined entity "altera_avalon_st_pipeline_stage". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "agent_pipeline_002" instantiates undefined entity "altera_avalon_st_pipeline_stage". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "agent_pipeline_003" instantiates undefined entity "altera_avalon_st_pipeline_stage". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "agent_pipeline_004" instantiates undefined entity "altera_avalon_st_pipeline_stage". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "agent_pipeline_005" instantiates undefined entity "altera_avalon_st_pipeline_stage". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "agent_pipeline_006" instantiates undefined entity "altera_avalon_st_pipeline_stage". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "agent_pipeline_007" instantiates undefined entity "altera_avalon_st_pipeline_stage". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "agent_pipeline_008" instantiates undefined entity "altera_avalon_st_pipeline_stage". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "agent_pipeline_009" instantiates undefined entity "altera_avalon_st_pipeline_stage". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "mux_pipeline" instantiates undefined entity "altera_avalon_st_pipeline_stage". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "mux_pipeline_001" instantiates undefined entity "altera_avalon_st_pipeline_stage". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "mux_pipeline_002" instantiates undefined entity "altera_avalon_st_pipeline_stage". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "mux_pipeline_003" instantiates undefined entity "altera_avalon_st_pipeline_stage". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "mux_pipeline_004" instantiates undefined entity "altera_avalon_st_pipeline_stage". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "mux_pipeline_005" instantiates undefined entity "altera_avalon_st_pipeline_stage". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "mux_pipeline_006" instantiates undefined entity "altera_avalon_st_pipeline_stage". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "mux_pipeline_007" instantiates undefined entity "altera_avalon_st_pipeline_stage". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "mux_pipeline_008" instantiates undefined entity "altera_avalon_st_pipeline_stage". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "mux_pipeline_009" instantiates undefined entity "altera_avalon_st_pipeline_stage". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "mux_pipeline_010" instantiates undefined entity "altera_avalon_st_pipeline_stage". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "mux_pipeline_011" instantiates undefined entity "altera_avalon_st_pipeline_stage". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "mux_pipeline_012" instantiates undefined entity "altera_avalon_st_pipeline_stage". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "mux_pipeline_013" instantiates undefined entity "altera_avalon_st_pipeline_stage". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "mux_pipeline_014" instantiates undefined entity "altera_avalon_st_pipeline_stage". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "mux_pipeline_015" instantiates undefined entity "altera_avalon_st_pipeline_stage". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "mux_pipeline_016" instantiates undefined entity "altera_avalon_st_pipeline_stage". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "mux_pipeline_017" instantiates undefined entity "altera_avalon_st_pipeline_stage". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "mux_pipeline_018" instantiates undefined entity "altera_avalon_st_pipeline_stage". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "mux_pipeline_019" instantiates undefined entity "altera_avalon_st_pipeline_stage". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "avalon_st_adapter" instantiates undefined entity "dev_5csx_h6_42a_mm_interconnect_0_avalon_st_adapter". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "avalon_st_adapter_001" instantiates undefined entity "dev_5csx_h6_42a_mm_interconnect_0_avalon_st_adapter". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "avalon_st_adapter_002" instantiates undefined entity "dev_5csx_h6_42a_mm_interconnect_0_avalon_st_adapter". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "avalon_st_adapter_003" instantiates undefined entity "dev_5csx_h6_42a_mm_interconnect_0_avalon_st_adapter". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "avalon_st_adapter_004" instantiates undefined entity "dev_5csx_h6_42a_mm_interconnect_0_avalon_st_adapter". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "mm_clock_crossing_bridge_0_m0_translator" instantiates undefined entity "altera_merlin_master_translator". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "fpga_ddr_avl_translator" instantiates undefined entity "altera_merlin_slave_translator". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "mm_clock_crossing_bridge_0_m0_agent" instantiates undefined entity "altera_merlin_master_agent". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "fpga_ddr_avl_agent" instantiates undefined entity "altera_merlin_slave_agent". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "fpga_ddr_avl_agent_rsp_fifo" instantiates undefined entity "altera_avalon_sc_fifo". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "router" instantiates undefined entity "dev_5csx_h6_42a_mm_interconnect_2_router". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "router_001" instantiates undefined entity "dev_5csx_h6_42a_mm_interconnect_2_router_001". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "cmd_demux" instantiates undefined entity "dev_5csx_h6_42a_mm_interconnect_2_cmd_demux". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "cmd_mux" instantiates undefined entity "dev_5csx_h6_42a_mm_interconnect_2_cmd_mux". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "rsp_demux" instantiates undefined entity "dev_5csx_h6_42a_mm_interconnect_2_cmd_demux". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "rsp_mux" instantiates undefined entity "dev_5csx_h6_42a_mm_interconnect_2_rsp_mux". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "agent_pipeline" instantiates undefined entity "altera_avalon_st_pipeline_stage". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "agent_pipeline_001" instantiates undefined entity "altera_avalon_st_pipeline_stage". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "mux_pipeline" instantiates undefined entity "altera_avalon_st_pipeline_stage". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "mux_pipeline_001" instantiates undefined entity "altera_avalon_st_pipeline_stage". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error (12006): Node instance "avalon_st_adapter" instantiates undefined entity "dev_5csx_h6_42a_mm_interconnect_0_avalon_st_adapter". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
Error: Quartus Prime Analysis & Synthesis was unsuccessful. 134 errors, 2 warnings
Error: Peak virtual memory: 4974 megabytes
Error: Processing ended: Wed Sep 09 17:09:47 2020
Error: Elapsed time: 00:01:31
Error: Total CPU time (on all processors): 00:01:35


Replies (2)

RE: Quartus v20.1 errors building mitysom 5csx project - Added by Tristan Aldinger over 3 years ago

I also get these errors when generating the Platform Designer VHDL:
Info: m0: "fpga_ddr" instantiated altera_mem_if_ddr3_afi_mux "m0"
Error: s0: Error during execution of "{C:/intelfpga/20.1/quartus/../nios2eds/Nios II Command Shell.bat} make all 2>> stderr.txt": child process exited abnormally
Error: s0: Execution of command "{C:/intelfpga/20.1/quartus/../nios2eds/Nios II Command Shell.bat} make all 2>> stderr.txt" failed
Error: s0: child process exited abnormally
Error: s0: Cannot find sequencer/sequencer.elf
Error: s0: An error occurred
while executing
"error "An error occurred""
(procedure "_error" line 8)
invoked from within
"_error "Cannot find $seq_file""
("if" then script line 2)
invoked from within
"if {[file exists $seq_file] == 0} {
_error "Cannot find $seq_file"
}"
(procedure "alt_mem_if::util::seq_mem_size::get_max_memory_usage" line 14)
invoked from within
"alt_mem_if::util::seq_mem_size::get_max_memory_usage [file join "sequencer" "sequencer.elf""
invoked from within
"set calc_mem_size [alt_mem_if::util::seq_mem_size::get_max_memory_usage [file join "sequencer" "sequencer.elf"]]"
("if" then script line 2)
invoked from within
"if { !$do_only_rw_mgr_mc && !($bfm_mode || $hps_mode)} {
set calc_mem_size [alt_mem_if::util::seq_mem_size::get_max_memory_usage [file join "sequenc..."
(procedure "generate_qsys_sequencer_sw" line 943)
invoked from within
"generate_qsys_sequencer_sw $prepend_str $protocol $pre_compile_dir $fileset $inhdl_dir $rdimm $lrdimm 0 0 $nios_hex_file_name $ac_rom_init_file_name ..."
invoked from within
"set seq_mem_size_list [generate_qsys_sequencer_sw $prepend_str $protocol $pre_compile_dir $fileset $inhdl_dir $rdimm $lrdimm 0 0 $nios_hex_file_name ..."
("if" else script line 2)
invoked from within
"if {[::alt_mem_if::util::qini::qini_value alt_mem_if_seq_size_request 0] > 0} {
set seq_mem_size [::alt_mem_if::util::qini::qini_value alt_mem_if_se..."
(procedure "alt_mem_if::gen::uniphy_gen::generate_qsys_sequencer" line 238)
invoked from within
"alt_mem_if::gen::uniphy_gen::generate_qsys_sequencer "${name}" $protocol $tmpdir $fileset {}"
invoked from within
"set qsys_sequencer_files_list [alt_mem_if::gen::uniphy_gen::generate_qsys_sequencer "${name}" $protocol $tmpdir $fileset {}]"
(procedure "alt_mem_if::gen::uniphy_gen::generate_sequencer_files" line 3)
invoked from within
"alt_mem_if::gen::uniphy_gen::generate_sequencer_files $name "DDR3" $tmpdir QUARTUS_SYNTH"
invoked from within
"foreach generated_file [alt_mem_if::gen::uniphy_gen::generate_sequencer_files $name "DDR3" $tmpdir QUARTUS_SYNTH] {
set file_name [file tail $genera..."
(procedure "generate_synth" line 8)
invoked from within
"generate_synth mitysom_5csx_dev_board_fpga_ddr_s0"
Info: s0: "fpga_ddr" instantiated altera_mem_if_ddr3_qseq "s0"
Error: Generation stopped, 250 or more modules remaining
Info: mitysom_5csx_dev_board: Done "mitysom_5csx_dev_board" with 86 modules, 75 files
Error: qsys-generate failed with exit code 1: 6 Errors, 11 Warnings

RE: Quartus v20.1 errors building mitysom 5csx project - Added by Alexander Block over 3 years ago

Tristan,

I wanted to let you know that I installed Quartus Lite 20.1 and attempted to build the FPGA project per our Wiki instructions. I got to the same exact point and error as you. It appears, in my case, that if I manually run the Nios II Command Shell from a CMD prompt I am notified that 'wsl' is not recognized, see attached image.

If your issue is the same as mine then you need to be using an updated version of Windows 10 and install the WSL tools per this instruction from Intel (https://www.intel.com/content/altera-www/global/en_us/index/support/support-resources/knowledge-base/tools/2019/how-do-i-install-the-windows--subsystem-for-linux---wsl--on-wind.html). At that point you can reboot and try the build again.

Unfortunately it appears that WSL is not supported in Windows 7.

Let me know if you still hit a road block further along the build process.

Alex

    (1-2/2)
    Go to top
    Add picture from clipboard (Maximum size: 1 GB)